Researchers propose an efficient optical proximity correction method

Update time: 2021-06-16

Recently, Shanghai Institute of Optics and Fine Mechanics (SIOM), Chinese Academy of Sciences (CAS), has proposed an efficient optical proximity correction method based on virtual edge and mask pixelation with two-phase sampling. Simulation results demonstrate the superior modification efficiency of the proposed OPC method. Relevant results were published in Optics Express on 21 May, 2021.

Lithography is one of the key technologies in the fabrication of the very-large-scale integrated circuits (VLSI). The lithographic resolution determines the critical dimension (CD) of the integrated circuits (ICs). With the continuous shrinking of CD of ICs, the significant optical proximity effects (OPEs) induced by the diffraction-limited property of the lithography systems degrade the lithographic imaging quality. Computational lithography refers to the techniques that effectively improves the resolution and process window by optimizing the illumination source, the mask pattern and the process parameters with mathematical models and optimization algorithms, without changing the hardware and software configurations of the lithography systems. Computational lithography is regarded as the driving force to promote the development of IC chips according to Moore’s Law.

OPC compensates for and minimizes the imaging distortions by modifying the original mask patterns, through the adjustment of the distribution of transparent regions of the mask. Model-based OPC has become one of the critical computational lithography techniques to implement the IC fabrication at 90nm technology node and beyond.

The research group from SIOM proposed an efficient OPC method based on virtual edge and mask pixelation with two-phase sampling. All kinds of imaging distortions are classified into two categories of imaging anomalies, the inward shrinkage anomaly and the outward extension anomaly. The imaging anomalies are detected around the corners and along the boundaries of the mask features with several anomaly detection templates. Virtual edges are adaptively generated according to the local imaging anomalies. The virtual edges are shifted to adjust the distribution of transparent regions on the mask and modify the local imaging anomalies. Several constraints and strategies are applied for efficient modifications and global control of the contour fidelity.

In addition, the diffraction-limited property of the imaging system is fully utilized to separate the imaging evaluations at a coarse sampling level and the mask modifications at a fine sampling level, through the mask pixelation with two-phase sampling. It accelerates the imaging evaluations and guarantees the modification resolution as well. Simulations and comparisons verify the superior modification efficiency of the proposed OPC method over the OPC methods based on heuristic algorithms.

The research is supported by the National Major Science and Technology Projects of China and Natural Science Foundation of Shanghai.


Fig. 1. Virtual edge based modification for (a) horizontal extension anomaly, and (b) horizontal shrinkage anomaly. (Image by SIOM)


Fig. 2. Correction results for the symmetrical mask pattern using different OPC methods. (Image by SIOM)

Article website:
https://doi.org/10.1364/OE.415913

Contact:
WU Xiufeng
General Administrative Office
Shanghai Institute of Optics and Fine Mechanics, CAS
Email: xfwu@siom.ac.cn
Web: http://english.siom.cas.cn/

附件下载: